. . . "Unless the device is a synchronizing register having a single input from the other clock group, a warning is issued that this is a design violation." .